Implementation of a new coding scheme for improving the SET operations in Phase Change Memory (PCM)

(1) * Milad Mohseni Mail (Department of Computer Science and Engineering, Islamic Azad University, Iran, Islamic Republic of)
*corresponding author

Abstract


Among Non-Volatile Memories (NVMs), PCMs are considered the best alternative to DRAM (dynamic random-access memories). As a result of its superior performance and scalability, there are several advantages over DRAM, including lower leakage and energy consumption, higher cell number, and smaller cells. This kind of memory does, however, suffer from a long write latency. In this article, we present a technique to reduce write latency by reducing the number of SET operations. The proposed method is an improved Write Time Speed-up (WTS) code scheme. In the proposed scheme, a new code based on hamming weight is given, and an appropriate algorithm is written to reduce the number of SET operations. Compared with current methods, the proposed scheme decreased SET and RESET operations by 3.9 percent, SET operations by 3.3 percent, and power consumption by 2.6 percent. Visual Basic 6 and GEM 5 simulations are used to simulate the suggested method

Keywords


PCM, RESET, SET, Write latency, Energy consumption, Non-Volatile Memory

   

DOI

https://doi.org/10.31763/aet.v2i2.1006
      

Article metrics

10.31763/aet.v2i2.1006 Abstract views : 686 | PDF views : 360

   

Cite

   

Full Text

Download

References


[1] C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. W. Keller, “Energy Management for Commercial Servers,” Computer (Long. Beach. Calif)., vol. 36, no. 12, pp. 39–48, 2003, doi: 10.1109/MC.2003.1250880.

[2] S. Hong, “Memory technology trend and future challenges,” 2010 International Electron Devices Meeting, San Francisco, CA, USA, 2010, pp. 12.4.1-12.4.4, doi: 10.1109/IEDM.2010.5703348.

[3] K. Kim, “Technology for sub-50nm DRAM and NAND flash manufacturing,” Tech. Dig. - Int. Electron Devices Meet. IEDM, vol. 2005, pp. 323–326, 2005, doi: 10.1109/IEDM.2005.1609340.

[4] B. C. Lee, E. Ipek, O. MutIu, and D. Burger, “Phase change memory architecture and the quest for scalability,” Commun. ACM, vol. 53, no. 7, pp. 99–106, Jul. 2010, doi: 10.1145/1785414.1785441.

[5] B. Schroeder, E. Pinheiro, and W. D. Weber, “DRAM errors in the wild: A large-scale field study,” SIGMETRICS/Performance’09 - Proc. 11th Int. Jt. Conf. Meas. Model. Comput. Syst., vol. 37, no. 1, pp. 193–204, 2009, doi: 10.1145/1555349.1555372.

[6] S. Thoziyoor, J. H. Ahn, M. Monchiero, J. B. Brockman, and N. P. Jouppi, “A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies,” Proc. - Int. Symp. Comput. Archit., pp. 51–62, 2008, doi: 10.1109/ISCA.2008.16.

[7] W. Zhang and T. Li, “Exploring phase change memory and 3D die-stacking for power/thermal friendly, fast and durable memory architectures,” Parallel Archit. Compil. Tech. - Conf. Proceedings, PACT, pp. 101–112, 2009, doi: 10.1109/PACT.2009.30.

[8] M. K. Qureshi, V. Srinivasan, and J. A. Rivers, “Scalable high performance main memory system using phase-change memory technology,” Proc. - Int. Symp. Comput. Archit., pp. 24–33, 2009, doi: 10.1145/1555754.1555760.

[9] M. K. Qureshi, S. Gurumurthi, and B. Rajendran, “Phase Change Memory,” pp. 1-122, 2012, doi: 10.1007/978-3-031-01735-3.

[10] S. Raoux et al., “Phase-change random access memory: A scalable technology,” IBM J. Res. Dev., vol. 52, no. 4–5, pp. 465–479, 2008, doi: 10.1147/RD.524.0465.

[11] S. Rashidi, M. Jalili, and H. Sarbazi-Azad, “Improving MLC PCM Performance through Relaxed Write and Read for Intermediate Resistance Levels,” ACM Trans. Archit. Code Optim., vol. 15, no. 1, p. 12, Mar. 2018, doi: 10.1145/3177965.

[12] L. Jiang, B. Zhao, Y. Zhang, J. Yang, and B. R. Childers, “Improving write operations in MLC phase change memory,” Proc. - Int. Symp. High-Performance Comput. Archit., pp. 201–210, 2012, doi: 10.1109/HPCA.2012.6169027.

[13] Y. Kim, S. Yoo, and S. Lee, “Improving Write Performance by Controlling Target Resistance Distributions in MLC PRAM,” ACM Trans. Des. Autom. Electron. Syst., vol. 21, no. 2, pp. 1-27, Jan. 2016, doi: 10.1145/2820610.

[14] L. Jiang, B. Zhao, J. Yang, and Y. Zhang, “A low power and reliable charge pump design for Phase Change Memories,” Proc. - Int. Symp. Comput. Archit., pp. 397–408, 2014, doi: 10.1109/ISCA.2014.6853194.

[15] A. Chen, “A review of emerging non-volatile memory (NVM) technologies and applications,” Solid. State. Electron., vol. 125, pp. 25–38, Nov. 2016, doi: 10.1016/J.SSE.2016.07.006.

[16] C. H. Lam, “Phase Change Memory and its intended applications,” Tech. Dig. - Int. Electron Devices Meet. IEDM, vol. 2015-February, no. February, pp. 29.3.1-29.3.4, Feb. 2015, doi: 10.1109/IEDM.2014.7047133.

[17] H. Y. Cheng et al., “Atomic-level engineering of phase change material for novel fast-switching and high-endurance PCM for storage class memory application,” Tech. Dig. - Int. Electron Devices Meet. IEDM, pp. 1-30, 2013, doi: 10.1109/IEDM.2013.6724726.

[18] J. Li and K. Mohanram, “Write-once-memory-code phase change memory,” 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, pp. 1-6, Apr. 2014, doi: 10.7873/DATE.2014.194.

[19] B. Do Yang, J. E. Lee, J. S. Kim, J. Cho, S. Y. Lee, and B. G. Yu, “A low power phase-change random access memory using a data-comparison write scheme,” Proc. - IEEE Int. Symp. Circuits Syst., pp. 3014–3017, 2007, doi: 10.1109/ISCAS.2007.377981.

[20] S. Cho and H. Lee, "Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance," 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), New York, NY, USA, pp. 347-357. 2009. Available at: https://ieeexplore.ieee.org/document/5375405.

[21] J. Yue and Y. Zhu, “Accelerating write by exploiting PCM asymmetries,” Proc. - Int. Symp. High-Performance Comput. Archit., pp. 282–293, 2013, doi: 10.1109/HPCA.2013.6522326.

[22] M. K. Qureshi, M. M. Franceschini, and L. A. Lastras-Montaño, “Improving read performance of phase change memories via write cancellation and write pausing,” Proc. - Int. Symp. High-Performance Comput. Archit., pp. 1-11, 2010, doi: 10.1109/HPCA.2010.5416645.

[23] M. K. Qureshi, M. M. Franceschini, A. Jagmohan, and L. A. Lastras, “PreSET: Improving performance of phase change memories by exploiting asymmetry in write times,” Proc. - Int. Symp. Comput. Archit., pp. 380–391, 2012, doi: 10.1109/ISCA.2012.6237033.

[24] A. P. Ferreira, B. Childers, R. Melhem, D. Mossé, and M. Yousif, “Using PCM in next-generation embedded space applications,” Real-Time Technol. Appl. - Proc., pp. 153–162, 2010, doi: 10.1109/RTAS.2010.40.

[25] A. P. Ferreira, M. Zhou, S. Bock, B. Childers, R. Melhem, and D. Mossé, “Increasing PCM main memory lifetime,” Proc. -Design, Autom. Test Eur. DATE, pp. 914–919, 2010, doi: 10.1109/DATE.2010.5456923.

[26] Y. Du, M. Zhou, B. R. Childers, D. Mossé, and R. Melhem, “Bit mapping for balanced PCM cell programming,” Proc. - Int. Symp. Comput. Archit., pp. 428–439, 2013, doi: 10.1145/2485922.2485959.

[27] R. Maddah, S. M. Seyedzadeh, and R. Melhem, “CAFO: Cost aware flip optimization for asymmetric memories,” 2015 IEEE 21st Int. Symp. High Perform. Comput. Archit. HPCA 2015, pp. 320–330, Mar. 2015, doi: 10.1109/HPCA.2015.7056043.

[28] Y. Li, X. Li, L. Ju, and Z. Jia, “A three-stage-write scheme with flip-bit for PCM main memory,” 20th Asia South Pacific Des. Autom. Conf. ASP-DAC 2015, pp. 328–333, Mar. 2015, doi: 10.1109/ASPDAC.2015.7059026.

[29] L. Jiang, Y. Zhang, and J. Yang, “Mitigating write disturbance in super-dense phase change memories,” Proc. Int. Conf. Dependable Syst. Networks, pp. 216–227, Sep. 2014, doi: 10.1109/DSN.2014.32.

[30] S. Lee, H. Bahn, and S. H. Noh, “Characterizing memory write references for efficient management of hybrid PCM and DRAM memory,” IEEE Int. Work. Model. Anal. Simul. Comput. Telecommun. Syst. - Proc., pp. 168–175, 2011, doi: 10.1109/MASCOTS.2011.68.

[31] K. Namba and F. Lombardi, “A Coding Scheme for Write Time Improvement of Phase Change Memory (PCM) Systems,” IEEE Trans. Multi-Scale Comput. Syst., vol. 2, no. 4, pp. 291–296, Oct. 2016, doi: 10.1109/TMSCS.2016.2605098.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2023 Milad Mohseni

Creative Commons License
This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License.


Applied Engineering and Technology
ISSN: 2829-4998
Email: aet@ascee.org | andri.pranolo.id@ieee.org
Published by: Association for Scientic Computing Electronics and Engineering (ASCEE)
Organized by: Association for Scientic Computing Electronics and Engineering (ASCEE), Universitas Negeri Malang, Universitas Ahmad Dahlan

View My Stats AET
Creative Commons License
This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License.